Welcome![Sign In][Sign Up]
Location:
Search - led vhdl

Search list

[VHDL-FPGA-VerilogLED.VHDL

Description: LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
Platform: | Size: 5120 | Author: 少龙 | Hits:

[OtherLED

Description: 用VHDL 语言描述度7段LED数码显示管,其开发均在FPGA中-using VHDL description of 7 degrees LED digital display tubes, which were developed in FPGA
Platform: | Size: 122880 | Author: 侯同 | Hits:

[VHDL-FPGA-VerilogLED

Description: 基于alteraCPLD芯片的VHDL点阵滚动显示源代码-VHDL-based alteraCPLD chip dot matrix rolling display the source code
Platform: | Size: 108544 | Author: 林晋阳 | Hits:

[VHDL-FPGA-Verilogled

Description: vhdl实现“PLD电子技术”(文字显示)-VHDL achieve PLD electronic technology (text)
Platform: | Size: 1024 | Author: 阿乔 | Hits:

[VHDL-FPGA-VerilogLED

Description: 以两种结构编写的VHDL驱动LED 已通过调试-err
Platform: | Size: 408576 | Author: hbsun | Hits:

[VHDL-FPGA-VerilogLED

Description: 一个LED显示动态扫描方式的vhdl实现-An LED display dynamic scanning realize the VHDL
Platform: | Size: 5120 | Author: xjz | Hits:

[VHDL-FPGA-Verilogled

Description: VERILOG实现LED的控制,实现动态刷新显示210。控制LED显示的模板程序-Verilog realization of LED control, dynamic refresh display 210. LED display control procedure template
Platform: | Size: 104448 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-Verilogled

Description: 8位数码扫描显示电路设计(VHDL)通过编译-8 digital scanning display circuit design (VHDL) through compiler
Platform: | Size: 1024 | Author: hh | Hits:

[VHDL-FPGA-Verilogled

Description: 10位8段LED的扫描显示程序,可以实现10位数的显示。-10脦 禄8 露 脦LED渭脛脡 篓 脙猫脧脭脢 戮 鲁 脤脨貌 拢 卢 驴 脡脪脭脢渭脧脰10脦 禄脢媒渭脛脧脭脢 戮 隆 拢
Platform: | Size: 1024 | Author: jz | Hits:

[SCMled

Description: led跑马灯的程序。请大家看看什么的地方要修复一些 谢谢大家-Marquee led process. Please take a look at what some of the places to repair Thank you
Platform: | Size: 8192 | Author: guang880 | Hits:

[Software Engineeringled

Description: 用EDA 设计LED 汉字滚动显示器,浅显易懂,设计简单-EDA design with Chinese characters scrolling LED display, easy to understand and is designed to be simple
Platform: | Size: 237568 | Author: skyer | Hits:

[VHDL-FPGA-Verilogled

Description: LED显示功能,使用VHDL语言编程,基于FPGA-LED display, the use of VHDL language programming, based on FPGA
Platform: | Size: 1024 | Author: juanjuan | Hits:

[SCMled

Description: 用一个按钮开关循环控制四个led灯的闪烁方式,输入时钟10MHz,闪烁频率1Hz-Button switch with a four cycle control lights flashing led the way, the input clock 10MHz, blinking frequency of 1Hz
Platform: | Size: 1024 | Author: liyinghe | Hits:

[OtherLED

Description: led display programme
Platform: | Size: 2048 | Author: fancywoods | Hits:

[Documentsvhdl

Description: 6位LED电子钟,非常实用实做过实验,自动报时,秒表-6 LED electronic clock, very useful experiment is done, automatic timer, stopwatch. . .
Platform: | Size: 4096 | Author: 王睿 | Hits:

[VHDL-FPGA-Verilogled

Description: 八段数码管的显示的小程序,环境是VHDL-0h
Platform: | Size: 105472 | Author: mars343 | Hits:

[VHDL-FPGA-VerilogLED

Description: LED控制VHDL程序与仿真可以很好的实现功能-VHDL program LED control and simulation, you can achieve very good feature!
Platform: | Size: 5120 | Author: 唐光敏 | Hits:

[Other7-LED

Description: 如题目所示,将二进制码转换为7位LED显示-Such as the title indicates, will be converted into binary code 7 LED display
Platform: | Size: 1024 | Author: wxw_s_life | Hits:

[VHDL-FPGA-Verilog8-led-VHDL

Description: 8位流水灯程序设计,跑马灯效果显示,里面是VHDL程序。-8 water lamps program design, which is a VHDL program
Platform: | Size: 109568 | Author: luo | Hits:

[Otherled

Description: 七段LED数码显示器是数字系统中常用的数码显示元件,二进制数不能直接在LED数码管上显示,需要用一个BCD七段译码器进行译码。下图给出了一个七段显示译码器的框图及相应的七段LED数码管的示意图。-Seven-segment LED digital display is commonly used in digital systems digital display devices, a binary number can not be directly displayed on the LED digital tube, needed a seven-segment BCD decoder for decoding. The following figure shows a block diagram of seven-segment display decoder and the corresponding seven-segment LED digital tube schematic.
Platform: | Size: 29696 | Author: 乐天猫 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 28 »

CodeBus www.codebus.net